summaryrefslogtreecommitdiff
path: root/source4
diff options
context:
space:
mode:
Diffstat (limited to 'source4')
-rw-r--r--source4/build/smb_build/makefile.pm10
-rw-r--r--source4/scripting/swig/config.mk12
-rw-r--r--source4/scripting/swig/dummymain.c9
3 files changed, 13 insertions, 18 deletions
diff --git a/source4/build/smb_build/makefile.pm b/source4/build/smb_build/makefile.pm
index fb1bf75994..343ce44207 100644
--- a/source4/build/smb_build/makefile.pm
+++ b/source4/build/smb_build/makefile.pm
@@ -676,17 +676,17 @@ swig: scripting/swig/_tdb.so scripting/swig/_dcerpc.so
scripting/swig/tdb.py: scripting/swig/tdb.i
swig -python scripting/swig/tdb.i
-scripting/swig/_tdb.so: scripting/swig/tdb.py scripting/swig/tdb_wrap.o \$(BINARY_swig_tdb_LINK_LIST)
+scripting/swig/_tdb.so: scripting/swig/tdb.py scripting/swig/tdb_wrap.o \$(LIBRARY_swig_tdb_DEPEND_LIST)
\$(SHLD) \$(SHLD_FLAGS) -o scripting/swig/_tdb.so scripting/swig/tdb_wrap.o \\
- \$(BINARY_swig_tdb_LINK_LIST) \$(BINARY_swig_tdb_LINK_FLAGS)
+ \$(LIBRARY_swig_tdb_SHARED_LINK_LIST) \$(LIBRARY_swig_tdb_SHARED_LINK_FLAGS)
-SWIG_INCLUDES = librpc/gen_ndr/samr.i librpc/gen_ndr/lsa.i librpc/gen_ndr/winreg.i librpc/gen_ndr/spoolss.i
+SWIG_INCLUDES = librpc/gen_ndr/samr.i librpc/gen_ndr/lsa.i librpc/gen_ndr/spoolss.i
scripting/swig/dcerpc.py: scripting/swig/dcerpc.i scripting/swig/samba.i scripting/swig/status_codes.i \$(SWIG_INCLUDES)
swig -python scripting/swig/dcerpc.i
-scripting/swig/_dcerpc.so: scripting/swig/dcerpc.py scripting/swig/dcerpc_wrap.o \$(BINARY_swig_dcerpc_DEPEND_LIST)
- \$(SHLD) \$(SHLD_FLAGS) -o scripting/swig/_dcerpc.so scripting/swig/dcerpc_wrap.o \$(BINARY_swig_dcerpc_DEPEND_LIST) \$(BINARY_swig_dcerpc_LINK_FLAGS)
+scripting/swig/_dcerpc.so: scripting/swig/dcerpc.py scripting/swig/dcerpc_wrap.o \$(LIBRARY_swig_dcerpc_DEPEND_LIST)
+ \$(SHLD) \$(SHLD_FLAGS) -o scripting/swig/_dcerpc.so scripting/swig/dcerpc_wrap.o \$(LIBRARY_swig_dcerpc_SHARED_LINK_LIST) \$(LIBRARY_swig_dcerpc_SHARED_LINK_FLAGS)
swig_clean:
-rm -f scripting/swig/_tdb.so scripting/swig/tdb.pyc \\
diff --git a/source4/scripting/swig/config.mk b/source4/scripting/swig/config.mk
index 8a5b743cac..70dab7c28d 100644
--- a/source4/scripting/swig/config.mk
+++ b/source4/scripting/swig/config.mk
@@ -1,7 +1,11 @@
-[BINARY::swig_tdb]
-OBJ_FILES = scripting/swig/dummymain.o
+[LIBRARY::swig_tdb]
+MAJOR_VERSION = 0
+MINOR_VERSION = 0
+RELEASE_VERSION = 1
REQUIRED_SUBSYSTEMS = LIBTDB
-[BINARY::swig_dcerpc]
-OBJ_FILES = scripting/swig/dummymain.o
+[LIBRARY::swig_dcerpc]
+MAJOR_VERSION = 0
+MINOR_VERSION = 0
+RELEASE_VERSION = 1
REQUIRED_SUBSYSTEMS = LIBCLI NDR_MISC LIBBASIC CONFIG RPC_NDR_SAMR
diff --git a/source4/scripting/swig/dummymain.c b/source4/scripting/swig/dummymain.c
deleted file mode 100644
index ac39144cd9..0000000000
--- a/source4/scripting/swig/dummymain.c
+++ /dev/null
@@ -1,9 +0,0 @@
-/*
- * Dummy main function as the build system doesn't seem to create a
- * dependency list for a subsystem.
- */
-
-int main(void)
-{
- return 0;
-}